Добро пожаловать, Гость. Пожалуйста, войдите или зарегистрируйтесь.
Вам не пришло письмо с кодом активации?
Гродненский Форум
23 Май 2024, 06:36:03
Новости, реклама:
   Главная   Новости Гродно Помощь Игры Календарь Войти Регистрация   Меню
Гродненский Форум > Компьютеры > Программирование
(Модераторы: Админ, barmalei) > Тема:

реализация таймера на vhdl

Страниц  :   Вниз
  Печать  
Автор Тема: реализация таймера на vhdl  (Прочитано 720 раз)
0 Пользователей и 1 Гость смотрят эту тему.
vezyn4ik
Новенький


Репутация: +1/-0
Offline Offline

Сообщений: 1

Просмотр профиля
« : 02 Январь 2014, 13:37:33 »

Здравствуйте,недавно начал изучать язык vhdl,но никак не могу понять  свои ошибки в синтаксисе. По заданию,нужно было реализовать таймер.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity cnt128 is
    Port ( c : in  STD_LOGIC;
           q : out  STD_LOGIC_VECTOR (6 downto 0);
           timer : out  STD_LOGIC);
end cnt128;

architecture Behavioral of cnt128 is
signal s:std_logic_vector (6 downto 0);="0000000";
constant const128:std_logic_vector (6 downto 0);="1111111";

begin
process ©
begin
if rising_edge© then
if (s="1111111") then s="0000000"
else
s<=s+1;
end if
end process;
q<=s;
process (s)
begin
if const128=s then timer<='1' else timer <='0'
end if
end process
end Behavioral;
Записан
Страниц  :   Вверх
  Печать  
 
Перейти в:  

Войти
Войдите, чтобы добавить комментарий

Войдите через социальную сеть

Имя пользователя:
Пароль:
Продолжительность сессии (в минутах):
Запомнить:
Забыли пароль?

Контакт
Powered by MySQL Powered by PHP Мобильная версия
Powered by SMF 1.1.20
SMF © 2006-2024, Simple Machines
Simple Audio Video Embedder
| Sitemap
Valid XHTML 1.0! Valid CSS!
Страница сгенерирована за 0,074 секунд. Запросов: 20.